Eurorack Tutorial: complex triggers with Maths

in #eurorack7 years ago (edited)

Here is a tutorial I put together last weekend. I’m hoping to upload some exclusive content to D-tube but for the time being will be linking me YouTube. I’m hoping it displays right just by liking we shall see, I’m a total newb just learning ;)

Anyways the idea behind this patch is to take advantage of the fact that maths cannot retrigger. By using the end of rise from channel one to trigger channel for the rise time on channel four acts as a crude clock divider. Remember channel 4 cannot retrigger during rise if the rise is longer than one cycle of channel one channel 4 will only retrigger every other clock. If the rise of channel 4 is long we than two cycles than it will only be triggered every three cycles of channel 1 etc. by using channel 4 to modulate channel one we begin to create complex rythms based on this simple system. With the rise of channel 4 set between one and two cycles of channel 1 we start to get a swing, with the percentage based on the depth that channel 4 is modulating the rise time of channel 1. As channel 4 rise time exceeds two cycles of channel 3 galloping and more complex rythms begin to evolve. Modulating channel 4 rise time can create even more complex rhythmic events.

Sort:  

Hi :)
i'm less than newbie about synthesis hardware, among first fans of electronic music in 70'. Found your live demo interesting and clear voice. Thanks!
I've posted a good piece of Genesis live 1973 that i found on youtube and free for download.
I wish you success!

Thanks! I just followed you and am about to go check out some posts of yours.

Thanks for this tutorial, shame that it was not picked up by any high powered steemit users. I'll be adding maths to my 104hp 6u project soon so this tutorial is a massive help thank you! #makenoise !! #steem

interesting. I am still trying to wrap my head around my Maths. Thanks for the tutorial.

Nice tutorial! I followed you on youtube.com as well. Good stuff, MATHS is a complicated module.